Paper Title
Generation of on-Chip Functional Tests With Reduced Delay and Power

Abstract
This paper describes an on-chip test generation method for functional broadside tests.The hardware was based on application of primary input sequences in order to allow the circuit to produce reachable states.Random primary input sequences were modeled to avoid repeated synchronization and thus yields varied sets of reachable states by implementing a decoder in between circuit and LFSR.The on-chip generation of functional broadside tests require simple hardware and achieved high transition fault coverage for testable circuits.Further,power and delay can be reduced by using Bit Swapping LFSR(BS-LFSR).This technique yields less number of transitions for all pattern generation.Bit-swapping(BS) technique is less complex and more reliable to hardware miscommunications. Index Terms— Built-in test generation, functional broadside tests, reachable states, Bit Swapping LFSR(BS-LFSR).